Jump to content

Problems with RemoteLinc


siegeld

Recommended Posts

I am trying to add a button on a RemoteLinc to a scene. I've got the RemoteLinc linked to ISY - no problem with that. When I add a button to a scene via the GUI, it chugs along for a little while, and then I get a popup - "Operation Failed" (or something like that). Note that the RemoteLinc works fine using Insteon linking (without ISY) - so I think that I don't have communication problems. I actually have four Access Points, and I've tried this operation from various places to try to verify that communication is not an issue. I get the failure in all cases. I also rebooted the ISY, no change. I deleted the RemoteLinc from the ISY and re-linked it, and no change.

 

Ideas?

Link to comment

siegeld,

 

First of all, apologies for the inconvenience.

 

To program a RemoteLinc (drop it into a scene), you should first hold the Bright and Dim button on the RemoteLinc for 10 seconds to put it into programming mode.

 

ISY comes up with a dialog instructing as such but not on releases before 2.4.5. So, please do be kind enough to let me know which release of ISY you are running. Please note that 2.4.5 is a minor version which is not automatically notified.

 

Again, with sincere apologies,

Regards,

Michel

 

I am trying to add a button on a RemoteLinc to a scene. I've got the RemoteLinc linked to ISY - no problem with that. When I add a button to a scene via the GUI, it chugs along for a little while, and then I get a popup - "Operation Failed" (or something like that). Note that the RemoteLinc works fine using Insteon linking (without ISY) - so I think that I don't have communication problems. I actually have four Access Points, and I've tried this operation from various places to try to verify that communication is not an issue. I get the failure in all cases. I also rebooted the ISY, no change. I deleted the RemoteLinc from the ISY and re-linked it, and no change.

 

Ideas?

Link to comment

Archived

This topic is now archived and is closed to further replies.


×
×
  • Create New...